Rabu, 27 Oktober 2010

VHDL merupakan sebuah bahasa pemrograman yang digunakan untuk mendeskripsikan hardware.VHDL merupakan singkatan dari VHSIC (Very High Speed Integrated Circuit) Hardware Description Language. Versi pertamanya adalah VHDL 87 yang kemudian diperbarui lagi dan disebut VHDL 93. VHDL merupakan bahasa pendeskripsian hardware pertama yang distandardisasi oleh Institute of Electrical and electronics Engeneers, melaui standard IEEE 1076. Kemudian sebuah standard tambahan, IEEE 1164 untuk mengenalkan nilai system logic. Manfaat utama dari VHDL ketika digunakan untuk mendesain sebuah sistem adalah kemampuannya untuk memodelkan sistem tersebut serta mensimulasikannya sebelum synthesis tools mentranslasikannya ke hardware

Istilah Library yaitu,sekumpul dari berbagai macam macam berkas kode.Bila suatu berkas kode disimpan kedalan Library maka berkas kode tersebut dapat digunakan serta dibagikan dengan rancangan lain.

Ini adalah contoh contoh Library: ieee, std, work, dll

ieee:
a. math_real
b. numeric_bit
c.numeric_std
d. std_logic_1164
e.std_logic_arith
f. std_logic_signed
g. std_logic_unsigned
h.vital_timing

std :
a.standard
b. textio

Ada 4 cara pembuatan berkas yang nanti tersimpan pada library,yaitu:

- Function

- Packages

- Components

- Procedures

sumber: google dan modul FPGA

Tidak ada komentar:

Posting Komentar